[time-nuts] Generating a solid PPS from 10Mhz source

Edesio Costa e Silva time-nuts at tardis.net.br
Wed Jan 13 13:23:35 UTC 2016


Hi!

Try TVB's picDiv at http://www.leapsecond.com/pic/picdiv.htm

Edésio

On Wed, Jan 13, 2016 at 09:22:09AM +0000, Jerome Blaha wrote:
> Hey Guys,
> 
> Is there an easy circuit to build that can consistently deliver a 1 PPS from a 10MHz source with excellent resolution and repeatability?  My first application is to test different 10MHz oscillators without a TIC always attached and then compare the PPS output change over time against a master GPSDO PPS with an HP53132A.
> 
> The circuit used for PPS generation would have to deliver consistent PPS output with preferably not more than 100ps noise or jitter, assuming a perfect source.  I'm totally guessing that for this resolution, the PPS would have to be generated and accurate to within 0.001Hz every second.  If this is too difficult, maybe the integration time can be increased to generate one pulse every 10second or every 100,000,000.00 cycles?
> 
> Finally, is a square 10Mhz reference any better in this case than a sinusoidal input for generating the PPS?
> 
> Thanks,
> Jerome
> 
> _______________________________________________
> time-nuts mailing list -- time-nuts at febo.com
> To unsubscribe, go to https://www.febo.com/cgi-bin/mailman/listinfo/time-nuts
> and follow the instructions there.



More information about the Time-nuts_lists.febo.com mailing list